Welcome![Sign In][Sign Up]
Location:
Search - gray code

Search list

[Other resource几个汇编代码code

Description: fx.asm 反序输出 cpc.asm 人机对话 DECHEX.ASM 十进制到十六进制转换 PX.ASN 排序 DISP.ASM 显示非组合BCD码 COUNTA.ASM 统计字符A的个数 GRA.ASM 格雷码 TADD.ASM 加法运算 TMUL.ASM 乘法运算-fx.asm sequence output cpc.asm anti-human-machine dialogue DECHEX.ASM decimal to hexadecimal conversion PX.ASN DISP.ASM sequencing showed non-portfolio BCD COUNTA.ASM statistics characters A number GRA.ASM Gray code TADD.ASM addition operations TMUL.ASM multiplication
Platform: | Size: 17984 | Author: cdl | Hits:

[Game Programgray

Description: 我以前写的一个灰度处理源代码,比较简单,初学者合用。-past, I wrote a gray handle source code, a relatively simple combination beginners.
Platform: | Size: 59392 | Author: 马翔 | Hits:

[ARM-PowerPC-ColdFire-MIPS几个汇编代码code

Description: fx.asm 反序输出 cpc.asm 人机对话 DECHEX.ASM 十进制到十六进制转换 PX.ASN 排序 DISP.ASM 显示非组合BCD码 COUNTA.ASM 统计字符A的个数 GRA.ASM 格雷码 TADD.ASM 加法运算 TMUL.ASM 乘法运算-fx.asm sequence output cpc.asm anti-human-machine dialogue DECHEX.ASM decimal to hexadecimal conversion PX.ASN DISP.ASM sequencing showed non-portfolio BCD COUNTA.ASM statistics characters A number GRA.ASM Gray code TADD.ASM addition operations TMUL.ASM multiplication
Platform: | Size: 17408 | Author: cdl | Hits:

[matlabGAGJ)

Description: 改进的GA. fga.m 为遗传算法的主程序 采用二进制Gray编码,采用基于轮盘赌法的非线性排名选择, 均匀交叉,变异操作,而且还引入了倒位操作! -improved GA. Fga.m for the main program of genetic algorithm using binary Gray code, Based on the roulette method of nonlinear ranking choice, uniform crossover and mutation, but also to introduce the inversion operation.
Platform: | Size: 3072 | Author: 林洋 | Hits:

[OtherPAPRreductionUsingGoleyRMmethod

Description: 这篇文章主要介绍了利用格雷码对OFDM系统PAPR抑制的算法详细步骤,与Golay码算法的源程序交相辉映-This article introduces the use of Gray code PAPR of OFDM system suppression algorithm detailed steps Golay code and the source code of each other-- Algorithm
Platform: | Size: 87040 | Author: baoruihan | Hits:

[VHDL-FPGA-Veriloggeleicounter

Description: 开发环境是FPGA开发工具,格雷码计数器的VHDL程序-Development environment is the FPGA development tools, Gray code counter VHDL procedures
Platform: | Size: 1024 | Author: horse | Hits:

[JSP/JavaGray

Description: Gray码的实现,用JAVA写的原理有点向数电课本中的2x2的矩阵-Gray code the realization of the principle of using JAVA to write a little electricity to several textbooks in the 2x2 matrix
Platform: | Size: 1024 | Author: 赵丰富 | Hits:

[OtherAlgorithm

Description: c++经典小程序。包括河内塔 费式数列 巴斯卡三角形 三色棋 老鼠走迷官(一) 老鼠走迷官(二) 骑士走棋盘 八个皇后 八枚银币 生命游戏 字串核对 双色、三色河内塔 背包问题(Knapsack Problem) 数、运算 蒙地卡罗法求 PI Eratosthenes筛选求质数 超长整数运算(大数运算) 长 PI 最大公因数、最小公倍数、因式分解 完美数 阿姆斯壮数 最大访客数 中序式转后序式(前序式) 后序式的运算 关于赌博 洗扑克牌(乱数排列) Craps赌博游戏 约瑟夫问题(Josephus Problem) 集合问题 排列组合 格雷码(Gray Code) 产生可能的集合 m元素集合的n个元素子集 数字拆解 排序 得分排行 选择、插入、气泡排序 Shell 排序法 - 改良的插入排序 Shaker 排序法 - 改良的气泡排序 Heap 排序法 - 改良的选择排序 快速排序法等 -err
Platform: | Size: 450560 | Author: sunny | Hits:

[VHDL-FPGA-Verilogbinary_to_gray

Description: 将二进制数转化为格备码,4位并行。binary_input为二进制数输入, gray_output为格雷码输出。-Will be converted into binary code grid preparation, 4-bit parallel. binary_input for binary input, gray_output for the Gray code output.
Platform: | Size: 12288 | Author: changhe | Hits:

[Communication-Mobilempsk_table

Description: QPSK gray code BER,SNR -super ailqk-QPSK gray code BER,SNR -super ailqk
Platform: | Size: 13312 | Author: chefo | Hits:

[AI-NN-PRtentotwo

Description: 十进制与二进制格雷码的转换——智能计算大作业-Gray code and binary decimal conversion- great job on Intelligent Computing
Platform: | Size: 34816 | Author: 亢海豚 | Hits:

[VHDL-FPGA-VerilogBinary.code.Gray.code.converter

Description: 二进制码格雷码转换器 进行二进制码格雷码转换,vhdl,QuartusⅡ-Binary code Gray code converter
Platform: | Size: 25600 | Author: duopk | Hits:

[SCM4-Bit-Gray-Code

Description: 4 Bit Gray Code for pic 16f84a
Platform: | Size: 13312 | Author: lmilmi | Hits:

[AI-NN-PRGray-code

Description: 改进的GA. fga.m 为遗传算法的主程序 采用二进制Gray编码,采用基于轮盘赌法的非线性排名选择, 均匀交叉,变异操作,而且还引入了倒位操作!-Improved GA. Fga.m main program for the genetic algorithm uses a binary Gray code, roulette method based on linear ranking selection, uniform crossover and mutation, but also introduces the inversion operation!
Platform: | Size: 34816 | Author: 孟扬 | Hits:

[CSharpBinary-Gray-Code-to-binary-system

Description: c语编写的格雷码转换成二进制码的代码源程序-Binary Gray Code to binary system
Platform: | Size: 3072 | Author: 1839233856 | Hits:

[ELanguagegray-code

Description: gray 编码功能实现,用清晰的方法给出了格雷码的产生过程。-generate gray code
Platform: | Size: 196608 | Author: 杨旭阳 | Hits:

[OtherGray-Code

Description: 该代码为格雷码的c语言程序代码,经过运行可以进行编译以及运行-The code for the gray code c language code, you can compile and run after operation
Platform: | Size: 30720 | Author: 刘翼 | Hits:

[VHDL-FPGA-VerilogVHDL-Gray-code

Description: 基于vhdl格雷码设计代码,调试过没错误。-Gray code design based on VHDL code, debugging didn t mistake.
Platform: | Size: 93184 | Author: 谢正伟 | Hits:

[VHDL-FPGA-Verilogencoder-based-on-Gray-code

Description: 基于VHDL格雷码编码器的设计,可以在试验箱上直接运行-Design of VHDL encoder based on Gray code, can be run directly in the chamber
Platform: | Size: 64512 | Author: 漆广文 | Hits:

[VHDL-FPGA-VerilogGray-code-encoder

Description: 1、 了解格雷码变换的原理。 2、 进一步熟悉QUARTUSII软件的使用方法和VHDL输入的全过程。 3、 进一步掌握实验系统的使用。 -Gray code encoder VHDL-based design
Platform: | Size: 101376 | Author: 漆广文 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net